Van beleggers
voor beleggers
desktop iconMarkt Monitor
  • Word abonnee
  • Inloggen

    Inloggen

    • Geen account? Registreren

    Wachtwoord vergeten?

Ontvang nu dagelijks onze kooptips!

word abonnee

Aandeel ASML Holding AEX:ASML.NL, NL0010273215

  • 858,800 26 apr 2024 17:39
  • +25,100 (+3,01%) Dagrange 841,800 - 862,500
  • 498.526 Gem. (3M) 553,6K

ASML in 2015

2.899 Posts
Pagina: «« 1 ... 130 131 132 133 134 ... 145 »» | Laatste | Omlaag ↓
  1. [verwijderd] 12 oktober 2015 14:47
    ma 12 okt 2015, 14:37
    'Winst en omzet ASML nemen verder toe'

    AMSTERDAM (AFN) - ASML heeft zijn winst en omzet vorig kwartaal opnieuw laten groeien ten opzichte van een jaar eerder. De vraag is daarbij vooral of de eerder voor de tweede helft van het jaar voorspelde afzwakking van de omzet meevalt.

    ASML gaf bij de cijfers van het tweede kwartaal aan dat de vooruitzichten voor de tweede helft van het jaar waren verbeterd. De vraag vanuit fabrikanten van zowel geheugen- als rekenchips ontwikkelde zich sterker dan verwacht. De omzetdaling in de tweede jaarhelft waar het bedrijf de markt eerder op voorbereidde, zou daardoor mee kunnen vallen.

    Voor het derde kwartaal ging ASML in juli nog wel uit van een omzetdaling, doordat relatief veel goedkopere systemen op de rol stonden om te worden afgeleverd. Waar de opbrengsten in zowel het eerste als het tweede kwartaal rond 1,65 miljard euro lagen, schatte ASML die voor het derde kwartaal in op 1,5 à 1,6 miljard euro.

    EUV

    Analisten voorzien gemiddeld 1,56 miljard euro aan opbrengsten, wat bijna 18 procent meer zou zijn dan een jaar eerder. De nettowinst groeide naar verwachting met een derde naar 324 miljoen euro.

    Naast de kwartaalresultaten wordt zoals altijd uitgekeken naar de vorderingen bij de ontwikkeling van EUV, de nieuwe technologie om schakelpatronen op halfgeleidermateriaal te etsen. Chipfabrikanten kunnen daarmee nog meer rekenkracht bundelen op dezelfde oppervlakte. EUV is een speerpunt in de toekomstplannen van ASML, dat zich tot doel heeft gesteld de omzet op te voeren naar minstens 10 miljard euro in 2020.
  2. Boskalix 13 oktober 2015 14:53
    quote:

    Ksino schreef op 13 oktober 2015 14:27:

    ik koop nu, morgen zijn ze alweer te duur.
    Cijfers en vooruitzichten van morgen zullen resulteren in dubbele cijfers procentuele stijging. Terug naar de 100-ers voor einde jaar :)
    Groot gelijk Ksino !
    ASML cijfers zullen in lijn met eerder goed zijn.
    Daling koersen is gewoon ivm algemene daling in chip en technologie.
    Zal na cijfers omhoog spuiten.
    Turbootjes kopen !!!
  3. Spreidstand 13 oktober 2015 15:04
    De vraag is of het nieuws al ingeprijsd is...

    “Dip in bestellingen bij ASML lijkt onvermijdelijk”

    VELDHOVEN - Het welvaren van ASML is afhankelijk van ontwikkelingen op de wereldwijde chipmarkt. Daar zijn enkele zaken te zien die het bijna onvermijdelijk maken dat er een dip in de bestellingen bij de Veldhovense fabrikant van chipmachines op komst is.

    Bij de presentatie van de cijfers over het derde kwartaal woensdag zal er een knikje naar beneden te zien zijn in de omzet. De verwachting is dat deze lager dan 1,6 miljard euro is, terwijl hij in de eerste twee kwartalen daar nog fier bovenuit steeg.

    ASML's topman Peter Wennink zag drie maanden geleden de kracht van de chipindustrie nog het totale halfjaar aanhouden.

    www.ed.nl/economie/asml/dip-in-bestel...

  4. [verwijderd] 13 oktober 2015 16:07
    deze is trouwens ook mooi om te lezen .. staat op dezelfde site ..

    VELDHOVEN - ASML heeft zijn eerste chipmachine van het type Twinscan NXT:1980Di aan een klant geleverd. Dit is het nieuwste type van de chipmachines met immersietechnologie.

    Met dit nieuwste model kunnen de klanten van ASML kleinere details op chips vormen door voor dezelfde laag meerdere belichtingen uit te voeren. Hiervoor moet de zogeheten overlay, de mate waarin die belichtingen op elkaar passen, zeer nauw zijn. Met de nieuwe machine is een overlay van 1,2 nanometer (miljoenste millimeter) bereikt. Bovendien bereikt de machine een wereldrecord snelheid van 275 chipschijven (van ieder honderden chips) per uur.

    Samenwerken met EUV machines

    De vernieuwde chipmachine kan ook bijzonder goed samenwerken met EUV chipmachines van ASML. Zo kunnen klanten de eerste een of twee lagen van chips met deze nieuwste technologie belichten om daarop volgende lagen met de nieuwste immersiemachine. ASML belooft dat deze lagen uitermate goed op elkaar passen. Voor de toekomstige chips willen de fabrikanten die combinatie graag maken. De NXT:1980Di is speciaal ontworpen om aan deze eisen te voldoen.
  5. altijdwat 13 oktober 2015 16:34
    Het is en blijft giswerk hoe de koers morgen zal reageren. De markt neigt weer meer naar het negatieve naar aanleiding van slechte berichten China en Duitsland. Mocht ASML goede cijfers bekend maken dan is het in een negatieve beurs nog maar de vraag of de koers omhoog zal gaan met percentages zoals enkele zeggen. Wellicht komt er stabilisatie in de koers of tendeert deze de komende tijd verder naar beneden omdat er een wereldwijde recessie aankomt.
    Positieve berichten over EUV kan volgens mij de boel redden. Stabilisatie in het orderboek, machientje meer of minder, doet er dan niet zo toe. Maar dat ze met EUV verder zijn dan een halfjaar geleden zal de koers voorruit helpen, denk ik.
  6. forum rang 7 bezinteergebelegt 13 oktober 2015 16:36
    Leuk, al die berichten maar het koersverloop in aanloop naar morgen doet anders vermoeden. Wennink moet morgen echt schitteren anders krijgt het nog een trap na. Maar los van dat, technisch is er veel schade aangericht de afgelopen weken/maanden, elke oprisping werd verkocht, is geen goed teken.
  7. [verwijderd] 13 oktober 2015 16:46
    quote:

    bezinteergebelegt schreef op 13 oktober 2015 16:36:

    Leuk, al die berichten maar het koersverloop in aanloop naar morgen doet anders vermoeden. Wennink moet morgen echt schitteren anders krijgt het nog een trap na. Maar los van dat, technisch is er veel schade aangericht de afgelopen weken/maanden, elke oprisping werd verkocht, is geen goed teken.
    Dat is helemaal waar natuurlijk maar wat er laatste weken/maanden gebeurde had weinig met ASML zelf te maken maar vooral met het hele sentiment te maken (China, Fed, Wereldeconomie)

    Ik denk dat niemand iets weet over morgen... Er zijn te veel onzekerheden denk ik.

    Iedereen weet dat het in china niet helemaal lekker gaat en dat er daar VEEL mensen wonen, en veel van die mensen stellen de aankoop van een nieuwe Ipad of telefoon nog even uit. Maakt mij niet wijs dat dat via de chipfabrikanten ook niet terug te merken zal zijn bij ASML.

    Tegelijkertijd zal dit ook al deels in de prijs zitten aangezien we om diezelfde zorgen ook al ver omlaag zijn gegaan. Aan de andere kant moet het goede nieuws dus van EUV komen. Maar hoeveel nieuws is er te vertellen? En in hoeverre kan goed EUV nieuws compenseren met tegenvallende orders?

    Ik sta sinds enkele weken aan de zijlijn na gelukkig beperkte verliezen genomen te hebben en blijf ook vandaag aan de zijlijn staan.
    (hopelijk sla ik mezelf niet morgen voor mijn kop als de koers toch 3% omhoog knalt)

  8. altijdwat 13 oktober 2015 16:53
    dit poste ik enkele dagen geleden:
    Toch zie ik ook hele goede dingen in de semi-con industrie. In augustus kocht ik Micron Technologies (Beurs US) natuurlijk veel te hoog vwb koers maar toch. Onlangs maakte dit bedrijf Q3 cijfers bekend. Vorig jaar hadden ze een winst van 0,33$. Nu werd er door de heren analisten helemaal niets van verwacht i.v.m. een sterke daling op de pc/chipmarkt. Toch knalt de koers omhoog van 14$ naar een koers van bijna 19$ deze week. Dit alleen vanwege het feit dat ze een WPA bekend maken van 0,36$. Gisteren melde ABN/AmroBank dat niet de hele economie in het slob zit. In de tech-sector werd nog geld zat verdiend. Verder had ASML een goed gevuld orderboek voor Q3 en tevens melde Peter Wennink medio juni/juli dat de markt toch sterker aantrok dan hij voor mogelijk had gehouden.
2.899 Posts
Pagina: «« 1 ... 130 131 132 133 134 ... 145 »» | Laatste |Omhoog ↑

Meedoen aan de discussie?

Word nu gratis lid of log in met je emailadres en wachtwoord.

Direct naar Forum

Premium

Advieswijziging ASML

Het laatste advies leest u als abonnee van IEX Premium

Inloggen Word Abonnee

Lees verder op het IEX netwerk Let op: Artikelen linken naar andere sites

Gesponsorde links