Van beleggers
voor beleggers
desktop iconMarkt Monitor

Ontvang nu dagelijks onze kooptips!

word abonnee

"EUV-verhaal Huawei-ASML is grote storm in klein glas water"

"EUV-verhaal Huawei-ASML is grote storm in klein glas water"

Niks te zien aan de ASML-koers vandaag. In lijn met andere chippers en beter dan de AEX. En stel, stel nou dat het Huwaei, of de Chinezen in het algemeen lukt om de EUV-machines van ASML één op één te kopiëren. 

Uiteraard gaat het niet alleen om die techniek, maar ook om de extreem geavanceerde spiegels, lasers en wat er allemaal wel niet aan high tech in die kolossale machines zit. Om maar te zwijgen van die handige bieropener aan de zijkant :-) Serieus: dan nog, is dat een concurrent of zelfs bedreiging voor ASML?

Ik zelf denk - als aandeelhouder, full disclosure - van niet. En wel om de eenvoudige reden dat de VS in het bijzonder en het Westen in het algemeen zeker een importverbod op die mogelijke Chinese machines legt en een exportverbod op spiegels van Carl Zeiss en noem maar op. China moet alle onderdelen zelf maken.    

Mocht het land dat lukken - en waarom ook niet, want Chinezen zijn zeker niet uit een ei gekropen - dan is het denk ik meer een geopolitieke dan een beleggersaangelegenheid. Want het Westen wil koste wat het kost voorkomen dat China zijn technologische achterstand inhaalt. Ik houd mijn aandelen, maar ook ik schrok vanochtend.

Uit de Britse Telegraph:

China has cracked a microchip design method previously only mastered by the West. Patent filings reveal that Huawei has made advances in a crucial method of chip manufacture, raising the prospect that the company could eventually start making some of the smallest and most powerful microchips by itself.

Huawei is one of the largest private companies in China. Its patent filing for the microchip technology, made in November but only revealed to the world this month, describes a way of using ultraviolet light to etch a computer chip’s inner workings into a piece of silicon.

Using so-called extreme ultraviolet lithography (EUV) technology, transistors can be created that are just nanometres in size. The most powerful computer chips contain millions of transistors and advances in miniaturisation allow for the creation of hugely powerful chips.

The highly specialised technique has only ever been cracked by Netherlands-based company ASML. A €208bn business, ASML’s chipmaking secrets are jealously guarded by both the company and the West.

Als u liever in het Nederlands leest, bij ons pikte Computable het op. Dank tipgever:


Zelf gebeld, ASML heeft het gezien en spreekt van een enorme storm in een heel klein glas water. Citaat:

We hebben het patent bekeken en het gaat om een deel van ultraviolette technologie waar wij niet aan werken. EUV-technologie is een vrij breed gebied en wij zijn niet het enige bedrijf dat hier patenten in heeft. Bovendien kun je met één patent nog niet een hele werkende lithografiemachine maken.

Kortom, het bedrijf zegt dat er geen sprake is van - wat het Telegraph-bericht toch suggereert - dat Huwaei zeg maar de bouwtekeningen van de ASML-machines heeft bemachtigd en nu aan het sleutelen is. En dan nog. Hebt u vorig jaar die VPRO-documentaire over ASML gezien? Daar is mij vooral één ding van bijgebleven.

Halverwege zegt een topmanager dat als u alle tekeningen en onderdelen hebt en u EUV-machines kan maken... die het nog niet doen. Pardon, ASML is toch geen Ikea? :-) Serieus, wat de secret sauce is zegt hij niet, maar je moet die apparaten blijkbaar met gevoel in elkaar zetten. Schroefjes niet te hard en niet te zacht aangedraaid, zoiets?


Let wel, ik heb natuurlijk als aandeelhouder een bias bij ASML en die houd ik, want ik houd zeker mijn aandelen. Vroeg of laat komt er vast en zeker eens een concurrent - kan bijna niet anders met die exploderende chip-honger in de wereld - of misschien een compleet andere technologie, of zelfs nog iets beters dan chips.

Zeker tot die tijd en dan nog kan ASML een mooie boterham verdienen en ons op een heleboel aandeelhouders-return trakteren, want het scala aan chips en machines dijt almaar verder uit. Grootste gevaar is denk ik dat ASML zélf of zijn grote partner TSMC lui, zelfvoldaan, arrogant en bureaucratisch worden. Zie Intel.... 

Nog iets en dit komt één op één - zonder hulp van Huwaei overigens - uit mijn slotcall van gisteren. Wat is de conclusie? China heeft fortuinen gespendeerd aan chiptech, maar twijfelt aan de effectiviteit daarvan? 

Met drie chippers in onze AEX en ongetwijfeld een aantal van u met een positie in het ook Nederlandse, maar aan de Nasdaq genoteerde NXP Semiconductors, wil ik u dit Bloomberg-artikel niet onthouden. De kop luidt:

Battered by Covid, China Hits Pause on Giant Chip Spending Aimed at Rivaling US

Copypaste, zeg maar of China zijn ambitie opgeeft om binnen enkele jaren minimaal het Westen te hebben ingehaald, het misschien helemaal opgeeft, of gewoon overgaat op Plan B. Onze grootste vrees als aandeelhouders is dat Chinese bedrijven in geen tijd onze chippers wegconcurreren. Is die overtrokken?  


Rest nog de waardegrafiek van ASML. Ja, de omzet- en winstverwachtingen zijn wel eens harder opgelopen. Bedenk echter dat het hier formeel om een cyclisch bedrijf gaat en dat we wereldwijd waarschijnlijk in een milde recessie zitten.


Over aandeelhoudersreturn gesproken: wat een weelde. Ik zie zelf ASML eerder Dividend Aristocrat worden dan dat het wordt weggeconcurreerd, maar dat is een mening en vooral koffiedikkijken.


De officiële fundamentele analyse, advies en koersdoel van IEX over ASML leest u hier.


Arend Jan Kamp is senior content manager van IEX. De informatie in dit artikel is niet bedoeld als professioneel beleggingsadvies of als aanbeveling tot het doen van bepaalde beleggingen.

Meld u aan voor de dagelijkse Beursupdate

Dagelijks een update van het laatste beursnieuws en beleggingskansen in uw mailbox!

 

Auteur: Arend Jan Kamp

Arend Jan Kamp is 24/7 van de vroege uurtjes voorbeurs tot de late uurtjes after hours uw gastheer op IEX, als hij in geheel eigen stijl (bondig, maar toch uitbundig) de beursdag met u doorneemt. Van aandelen en indices, via commodities, langs de rentemarkten, naar haute finance tot politiek en centrale banken. Arend Jan is ...

Meer over Arend Jan Kamp

Recente artikelen van Arend Jan Kamp

  1. 19 dec Het is een beetje anders dan anders voorbeurs 48
  2. 18 dec Voorbeurs houdt het niet over, maar er is nog genoeg te doen 2
  3. 15 dec Het ziet er aardig uit voorbeurs en wie weet wordt het heksenketel 3

Gerelateerd

Reacties

11 Posts
| Omlaag ↓
  1. mareblu 5 januari 2023 11:07
    Maar waarom dan toch zo geschrokken vanochtend? YouTube staat al meer dan een jaar vol met filmpjes waarin wordt verteld dat de Chinezen hard op weg zijn om ASML voorbij te streven, en dat AMSL helemaal geen monopolie positie heeft. De meeste van die filmpjes zijn gebruikelijk fakenews filmpjes met gerobotoiseerde voiceovers a la TikTok, men dit nieuws is ongeveer van hetzelfde niveau. Los daarvan is concurrentie alleen maar goed, het houdt ASML scherp en levert betere machines op, die waarschijnlijk nog decennia vooral van ASML komen.
  2. NielsjeB 5 januari 2023 12:26
    quote:

    mareblu schreef op 5 januari 2023 11:07:

    Maar waarom dan toch zo geschrokken vanochtend? YouTube staat al meer dan een jaar vol met filmpjes waarin wordt verteld dat de Chinezen hard op weg zijn om ASML voorbij te streven, en dat AMSL helemaal geen monopolie positie heeft. De meeste van die filmpjes zijn gebruikelijk fakenews filmpjes met gerobotoiseerde voiceovers a la TikTok, men dit nieuws is ongeveer van hetzelfde niveau. Los daarvan is concurrentie alleen maar goed, het houdt ASML scherp en levert betere machines op, die waarschijnlijk nog decennia vooral van ASML komen.
    Zeg dat. Artikelen van 31 december en 3 januari en doen alsof je bovenop het nieuws zit.
    Ach ja, vast weer wat page views binnen.

    Disclaimer: geen positie in ASML
  3. forum rang 4 Beursgeslagen 6 januari 2023 07:44
    quote:

    M357 schreef op 5 januari 2023 17:28:

    Als die Chinezen eenmaal op gang komen zijn er minder machines van ASML zo simpel is het eigenlijk. De Chinese machines gaan als een malle produceren en die chips in de hele wereld verkopen. Lange termijn monopolies bestaan niet meer.
    Niet erg toch. De markt bepaalt de afloop wel.
  4. Mike O. 6 januari 2023 07:59
    quote:

    M357 schreef op 5 januari 2023 17:28:

    Als die Chinezen eenmaal op gang komen zijn er minder machines van ASML zo simpel is het eigenlijk. De Chinese machines gaan als een malle produceren en die chips in de hele wereld verkopen. Lange termijn monopolies bestaan niet meer.
    Knap dat je zoveel onzin in 3 zinnen kunt proppen.
11 Posts
|Omhoog ↑

Meedoen aan de discussie?

Word nu gratis lid of log in met je emailadres en wachtwoord.

Lees verder op het IEX netwerk Let op: Artikelen linken naar andere sites

Gesponsorde links